Ayuda:FPGA

Unido
marzo 5, 2007
Mensajes
172
Karma
6
Sé que tiene poco que ver con la PS3, o quien sabe...
Pero quería pediros ayuda, para quien sepa del tema, sobre la programación en FPGA´s. Tengo que hacer un trabajo sobre esto y me gustaría que me diesen ideas sobre qué puedo hacer y qué se puede conseguir programando en VHDL en entorno Xilinx para FPGA´s.

Pido ayuda aquí, ya que en España la comunidad que hay sobre este tema en internet es muy cortita y ya que tengo aquí varios amigüitos, pues ¿porqué no preguntarles a ellos?

Muchas gracias de todas formas.
Y si alguien no sabe que es esto, que lo pregunte y se lo explico (más o menos).
 
Superior